Welcome![Sign In][Sign Up]
Location:
Search - vending machine fpga

Search list

[VHDL-FPGA-Verilogautosell_newspaper

Description: 這是FPGA自動販賣機的功能,名字為autosell_newspaper.rar,其中使用了有限狀態機。-FPGA vending machines function, the name of the autosell_newspaper.rar, which uses the finite state machine.
Platform: | Size: 1024 | Author: MJ | Hits:

[VHDL-FPGA-Veriloget

Description: 基于FPGA的自动售饮料机。通过状态机对投放硬币进行控制,并在quartus9.0中进行了仿真,有完整仿真文件。-The beverage vending machines based on FPGA.Put a coin control based on state machine, and the simulation is carried out in quartus9.0, a complete simulation file.
Platform: | Size: 479232 | Author: 李慎 | Hits:

[JSPFPGA

Description: fpga的加法器,乘法器代码,很全面,还有数字跑表,状态机,自动售货机等一些编码-FPGA adder, multiplier code, very comprehensive, as well as digital stopwatch, state machine, vending machines and some other encoding
Platform: | Size: 171008 | Author: 洪全 | Hits:

[VHDL-FPGA-Verilognew_project

Description: 本设计是一种基于FPGA的自动售货机控制系统设计。该设计采用FPGA作为主控,设计自动售货机控制系统。模拟实现自动售货机的货物信息存储、货物的选择与购买、金额收取、余额计算、自动找零、状态显示等功能。 采用ALTERA芯片,QUARRTUS II9.1软件,vhdl描述语言进行设计,并通过modelsim进行仿真,最终验证表明,采用FPGA设计,可以更高效,更稳定,更便捷的实现自动售货机功-This design is a vending machine control system design based on FPGA. The design uses FPGA as a master, vending machine control system design. Analog vending machine cargo information storage, selection and purchase of goods, the amount charged, the balance calculation, automatic change, the status display. Using ALTERA chip, QUARRTUS II9.1 software, vhdl description language design, and simulation by modelsim, final verification showed that the FPGA design can be more efficient, more stable, more convenient vending machine power
Platform: | Size: 4067328 | Author: 张任 | Hits:
« 1 2»

CodeBus www.codebus.net